Pls attach me code relating to that
I read that $readmemh ( "filename.txt", array) is used to loading but i want clear idea regarding this
thank you
I'd prefer this way :
integer data_file ; // file handler
integer scan_file ; // file handler
logic signed [21:0] captured_data;
`define NULL 0
reg [31:0] test[115200]; //just example
reg [31:0] test_counter;
initial begin
data_file = $fopen("data_file.dat", "r");
if (data_file == `NULL) begin
$display("data_file handle was NULL");
$finish;
end
always @(posedge clk) begin
if(reset) begin
test_counter
// Author: Dr. M. C. Hanumantharaju
`define clkperiodby2 10
module image_tb;
//Declarations
reg [0:0] mem [0:8191];
reg [12:0] mem_addr ;
//integer fp1; //File Pointer
// Instantiate top level design
initial
begin
reset_n = 0;
#20 reset_n = 1;
//i = 0 ;
$readmemh("H:/verilog_input.txt",mem1,0,1343);
$readmemh("H:/in.txt", mem2,0,65535); //Read the image
fp1=$fopen("H:/imnn_res.txt");
clk = 0;
mem_addr1 = 0;
mem_addr2 = 0;
//#1400000
//$stop ;
//#10 clk = ~clk
always
#`clkperiodby2 clk
If you had a data set which exhibited both non-normally distributed and non homogeneous,which statistical test would you use compare two sample mean? if i go with parametric test normality and...
04 March 2020 2,609 9 View
Dear Professionals, Kindly do share with me the sample questionnaire of Structural Equation Modelling (SEM) along with any of the reading material for the theory as well. 1). What are the major...
01 January 2020 1,500 2 View
Anyone who has used both SPSS and SYSTAT software and can provide insight as to whether latter is as good? Are there any hitches in SYSTAT? Planning to shift to SYSTAT, so seeking information....
17 March 2019 8,021 2 View
Dear Professionals, Hoping you all are doing excellent. I could understand your busy schedule, yet I need your great views/insights on the topic "Artificial Intelligent (AI) centric future of...
16 October 2018 8,602 2 View
Relative Wear Ratio (RWR): It is a new ratio to identify the material removal rate with respect of tool wear rate. Can we fix tool wear as minimum as possible in CNC EDM sinking machine. Any...
22 May 2018 7,881 1 View
Can Electro Discharge Machining (EDM) replace the Lathe Machine in near future due to its versatility and easiness of machining conditions for machining of conductive materials? Non contacting...
22 May 2018 6,543 3 View
The mental trap or struck in between while doing the research work or writing a journal paper occur often in our life. We often get confused how many papers should be referred for writing a paper....
21 May 2018 6,330 2 View
How far the research is being utilized for social cause? Is research given more importance than it is supposed to be given? How far these research papers, articles, letters etc. influence the...
12 May 2018 6,371 8 View
Can you suggest me " how to isolate some organisms which can lyse insect larvae other than B.thuringiensis and B.sphearicus ?
31 December 2017 369 7 View
Hi, How to calculate 3 components of j integral using domain integral method.
14 May 2017 280 3 View
how to write lee algorithm in Verilog code, if could someone help.
20 December 2020 8,565 1 View
I have implemented several basic circuits using VS- CNTFET Stanford model in Cadence Virtuoso. All the circuits are showing proper transient response, but power plot is coming out as zero( in...
19 October 2020 2,954 4 View
The HSPICE and Verilog versions are available here https://nano.stanford.edu/stanford-cnfet-model. Is it possible to add CNTFET model in OrCAD Pspice and if yes, what are the steps regarding this.
12 October 2020 916 2 View
Suppose A is a 'tri'. A is being connected to the output of a module which is instantiated twice,in both the instances. In one instance the value of A will be 1'bz and in the other it will be...
27 March 2020 1,294 2 View
I am trying to simulate following the instructions in the pdf attached. But I keep getting the following error: "Missing or corrupt .oa file in cellview 'cnt/nCNT/spectre cmos_sch cmos.sch...
11 March 2020 9,590 4 View
I have 100 samples of data (sampled at 170 Hz) each having 16-bit wide, first of all I want to store the data in FPGA basys 3 board and secondly how it can be displayed with the help of FPGA VGA...
07 August 2019 8,229 4 View
i have to write a testbench for iir filter using verilog. These testbench will contain a .txt file of ECG data. I am not getting any idea....can anyone help me how to start? Thanks
01 February 2019 1,378 8 View
Actually I have used the following format. But it does not work. #load "veriloga", "path of the veriloga file" During simulation it is showing "unknown model type". Here I am using model type as...
31 December 2018 9,348 0 View
I am working on a mixed-signal IC design using SystemVerilog - Real Number Modeling. I have to convert an expression argument into its integrated form. There is a circular integrator operator in...
08 September 2018 2,112 2 View
Hi, I am trying to implement a particular code in verilog whose pseudo code is given below. I have problem with the while loop(LINE 7 & 11). I get this error when the while loop is used;...
07 August 2018 8,568 1 View