I am creating an IP in Xilinx Vivado. I need the constraints file (XDC) for pin assignments. I am using zynq7000 Zedboard from digilent

More Saravanakumar Chandrasekaran's questions See All
Similar questions and discussions