Text file (LUT) Input/Output to FPGA Virtex 6 (ML605 board) 

I have an ML605 evaluation kit (Virtex 6 FPGA). I want to do the following: 

-Store/copy (binary) data from .txt file in BRAM (or preferably an external memory on the board).

-Read that data from BRAM, that will input for our module (e.g. input_1 and input_2).

-Store/write the output of module (e.g. sum) in BRAM.

-Export that data to .txt or any other file format from where we can fetch the binary values.

Similar questions and discussions